Студопедия — entity call_pro is
Студопедия Главная Случайная страница Обратная связь

Разделы: Автомобили Астрономия Биология География Дом и сад Другие языки Другое Информатика История Культура Литература Логика Математика Медицина Металлургия Механика Образование Охрана труда Педагогика Политика Право Психология Религия Риторика Социология Спорт Строительство Технология Туризм Физика Философия Финансы Химия Черчение Экология Экономика Электроника

entity call_pro is






port (

data: in STD_LOGIC_VECTOR(7 downto 0);

left_bit: out INTEGER range 0 to 256);

end call_pro;

 

Architecture call_rtl of call_pro is

-- signal le_bite: integer range 0 to 256;

procedure l_bit (signal DIN: in STD_LOGIC_VECTOR(7 downto 0);

Signal le_bite: out INTEGER range 0 to 256) is

variable temp: integer range 0 to 256;

Begin

temp:= 0;

For i in 0 to 7 loop

if (DIN(i) = '1') then temp:= i;

end if;

if (DIN(i) /= '1') then next;

end if;

end loop;

le_bite <= temp;

end l_bit;

 

signal DIN: STD_LOGIC_VECTOR(7 downto 0);

signal bite1: integer range 0 to 256;

Begin

DIN <= data;

l_bit(DIN, bite1); -- параллельный вызов процедуры

left_bit <= bite1;

end call_rtl;

 

Временная диаграмма работы проекта:

 

 

 

Вариант 2: (Последовательный вызов подпрограммы процедуры)

 

library IEEE;

use IEEE.STD_LOGIC_1164. all;

 

Entity call_pro is

port (

data: in STD_LOGIC_VECTOR(0 to 7);

left_bit: out INTEGER);

end call_pro;

 

Architecture rtl of call_pro is

 

Procedure l_bit (signal data: in STD_LOGIC_VECTOR(0 to 7); signal

le_bite: out INTEGER) is

variable temp: integer;

Begin

temp:= 0;

For i in 0 to 7 loop

if (data(i) = '1') then

temp:= i;

end if;

if (data(i) /= '1') then

exit;

end if;

end loop;

le_bite <= temp;

end l_bit;

 

signal DIN: STD_LOGIC_VECTOR(0 to 7);

signal bite1: integer;

 

Begin

p0: process (DIN)

Begin

l_bit(DIN, bite1); --последовательный вызов процедуры

left_bit <= bite1;

end process;

end rtl;

 

Временная диаграмма работы проекта:

 

 

- - - - - - - ---- ---- - - - - - -- ------------ - ----

 

Приложение: Оператор wait в теле определения подпрограммы процедуры

 

Раздел выполняемых операторов определения подпрограммы процедуры может содержать оператор wait. Особенности использования оператора описаны в книге Суворовой, стр. 155.







Дата добавления: 2015-09-15; просмотров: 405. Нарушение авторских прав; Мы поможем в написании вашей работы!



Аальтернативная стоимость. Кривая производственных возможностей В экономике Буридании есть 100 ед. труда с производительностью 4 м ткани или 2 кг мяса...

Вычисление основной дактилоскопической формулы Вычислением основной дактоформулы обычно занимается следователь. Для этого все десять пальцев разбиваются на пять пар...

Расчетные и графические задания Равновесный объем - это объем, определяемый равенством спроса и предложения...

Кардиналистский и ординалистский подходы Кардиналистский (количественный подход) к анализу полезности основан на представлении о возможности измерения различных благ в условных единицах полезности...

Сущность, виды и функции маркетинга персонала Перснал-маркетинг является новым понятием. В мировой практике маркетинга и управления персоналом он выделился в отдельное направление лишь в начале 90-х гг.XX века...

Разработка товарной и ценовой стратегии фирмы на российском рынке хлебопродуктов В начале 1994 г. английская фирма МОНО совместно с бельгийской ПЮРАТОС приняла решение о начале совместного проекта на российском рынке. Эти фирмы ведут деятельность в сопредельных сферах производства хлебопродуктов. МОНО – крупнейший в Великобритании...

ОПРЕДЕЛЕНИЕ ЦЕНТРА ТЯЖЕСТИ ПЛОСКОЙ ФИГУРЫ Сила, с которой тело притягивается к Земле, называется силой тяжести...

Виды и жанры театрализованных представлений   Проживание бронируется и оплачивается слушателями самостоятельно...

Что происходит при встрече с близнецовым пламенем   Если встреча с родственной душой может произойти достаточно спокойно – то встреча с близнецовым пламенем всегда подобна вспышке...

Реостаты и резисторы силовой цепи. Реостаты и резисторы силовой цепи. Резисторы и реостаты предназначены для ограничения тока в электрических цепях. В зависимости от назначения различают пусковые...

Studopedia.info - Студопедия - 2014-2024 год . (0.01 сек.) русская версия | украинская версия